Details

Advanced Nanoscale ULSI Interconnects:  Fundamentals and Applications


Advanced Nanoscale ULSI Interconnects: Fundamentals and Applications



von: Yosi Shacham-Diamand, Tetsuya Osaka, Madhav Datta, Takayuki Ohba

149,79 €

Verlag: Springer
Format: PDF
Veröffentl.: 19.09.2009
ISBN/EAN: 9780387958682
Sprache: englisch
Anzahl Seiten: 552

Dieses eBook enthält ein Wasserzeichen.

Beschreibungen

In Advanced ULSI interconnects – fundamentals and applications we bring a comprehensive description of copper-based interconnect technology for ultra-lar- scale integration (ULSI) technology for integrated circuit (IC) application. In- grated circuit technology is the base for all modern electronics systems. You can ?nd electronics systems today everywhere: from toys and home appliances to a- planes and space shuttles. Electronics systems form the hardware that together with software are the bases of the modern information society. The rapid growth and vast exploitation of modern electronics system create a strong demand for new and improved electronic circuits as demonstrated by the amazing progress in the ?eld of ULSI technology. This progress is well described by the famous “Moore’s law” which states, in its most general form, that all the metrics that describe integrated circuit performance (e. g. , speed, number of devices, chip area) improve expon- tially as a function of time. For example, the number of components per chip d- bles every 18 months and the critical dimension on a chip has shrunk by 50% every 2 years on average in the last 30 years. This rapid growth in integrated circuits te- nology results in highly complex integrated circuits with an increasing number of interconnects on chips and between the chip and its package. The complexity of the interconnect network on chips involves an increasing number of metal lines per interconnect level, more interconnect levels, and at the same time a reduction in the interconnect line critical dimensions.
Challenges in ULSI Interconnects - Introduction to the Book.- Technology Background.- MOS Device and Interconnects Scaling Physics.- Interconnects in ULSI Systems: Cu Interconnects Electrical Performance.- Electrodeposition.- Electrophoretic Deposition.- Wafer-Level 3D Integration for ULSI Interconnects.- Interconnect Materials.- Diffusion Barriers for Ultra-Large-Scale Integrated Copper Metallization.- Silicides.- Materials for ULSI metallization - Overview of Electrical Properties.- Low-? Materials and Development Trends.- Electrical and Mechanical Characteristics of Air-Bridge Cu Interconnects.- ALD Seed Layers for Plating and Electroless Plating.- Deposition Processes for ULSI Interconnects.- Electrochemical Processes for ULSI Interconnects.- Atomic Layer Deposition (ALD) Processes for ULSI Manufacturing.- Electroless Deposition Approaching the Molecular Scale.- Modeling.- Modeling Superconformal Electrodeposition Using an Open Source PDE Solver.- Electrochemical Process Integration.- to Electrochemical Process Integration for Cu Interconnects.- Damascene Concept and Process Steps.- Advanced BEOL Technology Overview.- Lithography for Cu Damascene Fabrication.- Physical Vapor Deposition Barriers for Cu metallization - PVD Barriers.- Low-k Dielectrics.- CMP for Cu Processing.- Electrochemical View of Copper Chemical-Mechanical Polishing (CMP).- Copper Post-CMP Cleaning.- Electrochemical Processes and Tools.- Electrochemical Processing Tools for Advanced Copper Interconnects: An Introduction.- Electrochemical Deposition Processes and Tools.- Electroless Deposition Processes and Tools.- Tools for Monitoring and Control of Bath Components.- Processes and Tools for Co Alloy Capping.- Advanced Planarization Techniques.- Metrology.- Integrated Metrology (IM) History at aGlance.- Thin Film Metrology - X-ray Methods.- Summary and Foresight.- Emerging Nanoscale Interconnect Processing Technologies: Fundamental and Practice.- Self-Assembly of Short Aromatic Peptides: From Amyloid Fibril Formation to Nanotechnology.
<P><STRONG>Advanced Nanoscale ULSI Interconnects: Fundamental and Applications</STRONG> brings a comprehensive description of copper based interconnect technology for Ultra Large Scale Integration (ULSI) technology to Integrated Circuit (ICs) application. This book reviews the basic technologies used today for the copper metallization of ULSI applications: deposition and planarization. It describes the materials used, their properties, and the way they are all integrated, specifically in regard to the copper integration processes and electrochemical processes in the nanoscale regime.&nbsp;The book&nbsp;also presents various novel nanoscale technologies that will link modern nanoscale electronics to future nanoscale based systems. This diverse, multidisciplinary&nbsp;volume&nbsp;will appeal to process engineers in the microelectronics industry; universities with programs in ULSI design, microelectronics, MEMS and nanoelectronics; and professionals in the electrochemical industry working with materials, plating and tool vendors. </P>
The multidisciplinarity of this book makes it an especially valuable tool for those interested in ULSI Interconnects Includes supplementary material: sn.pub/extras

Diese Produkte könnten Sie auch interessieren:

N4-Macrocyclic Metal Complexes
N4-Macrocyclic Metal Complexes
von: J.H. Zagal, Fethi Bedioui, J.P. Dodelet
PDF ebook
213,99 €
Modern Aspects of Electrochemistry 39
Modern Aspects of Electrochemistry 39
von: Constantinos G. Vayenas, Ralph E. White
PDF ebook
96,29 €